Siirry suoraan sisältöönSiirry suoraan alatunnisteeseen
Perttu HytönenTalous9.3.2023

Mikrosiruteollisuus on yhä kriittisemmässä roolissa suurvaltojen välisessä kilpailussa

Mikrosiruista on tullut yhä kriittisempiä komponentteja. Salkunhoitaja Perttu Hytönen kertoo, miten mikrosirujen kysynnän kasvu vaikuttaa suurvaltapolitiikkaan.Lue lisää sijoittamisesta

Mikrosirujen ja puolijohteiden käyttö lisääntyy maailmassa kovaa tahtia. Siruja on lähes kaikissa elektronisissa laitteissa - älypuhelimissa, kodinkoneissa, pelikonsoleissa.

Viime vuosina kehittyneimmistä mikrosiruista on ollut tuntuvaa pulaa, mikä on näkynyt kuluttajille esimerkiksi hidastuneina autotoimituksina. Syynä saatavuusongelmiin on ollut pandemian vauhdittama elektronisten laitteiden kysynnän kasvu, johon sirumarkkinat eivät ole pystyneet vastaamaan.

Sirujen kysynnän kasvun taustalla on laaja teknologiamegatrendi, joka toimii sirukehityksen moottorina. Useat rinnakkaiset teknologiatrendit - kuten 5G, esineiden internet, itseohjaavat autot, robotiikka, ennakoiva terveysteknologia ja puolustusteollisuuden älykkäät ratkaisut - luovat kysyntää siruja hyödyntäville sovelluksille.

Mikrosiruista on tullut teknologisen kehityksen kannalta kriittisiä komponentteja - vuoden 2020 volyymilla mitattuna maailmankaupan vaihdetuimpia tuotteita.

Puolijohdealan keskeinen merkitys on ymmärretty myös valtiotasolla, ja sirujen tuotannon varmistamisesta on tullut osa suurvaltapolitiikkaa.  Yhdysvallat on rajoittanut Kiinan pääsyä puolijohdeteknologioihin kieltämällä yrityksiä viemästä Kiinaan laitteita ja ohjelmistoja, joita voidaan käyttää kehittyneimpien sirujen tuotannossa. Myös Euroopassa on tiedostettu puolijohdetuotannon strateginen tärkeys. Saksa ja Iso-Britannia ovat rajoittaneet kiinalaisten mahdollisuuksia lisätä omistuksiaan eurooppalaisissa puolijohdeyrityksissä. EU:n komissio valmistelee sirusäädöstä, jonka tavoitteena on nostaa Euroopassa valmistettavien puolijohteiden osuus 10 prosentista 20 prosenttiin vuoteen 2030 mennessä.

Kiina on ottanut tavoitteekseen saavuttaa 70 %:n omavaraisuus puolijohteiden tuotannossa vuoteen 2025 mennessä. Puolijohdetuotannon monimutkaisuus ja tuotantoketjujen globaali hajanaisuus on kuitenkin hidastanut tuotannon kotiuttamista. Puolijohdealan arvoketjut on optimoitu kustannustehokkaiksi viime vuosikymmenen vapaan kaupan ja globalisaation kulta-aikana, ja eri maat ovat erikoistuneet tiettyihin osiin puolijohdearvoketjussa.

Kiina ei ole onnistunut saamaan Yhdysvaltoja kiinni sirujen suunnittelussa ja valmistuksessa, joista Yhdysvalloilla on yhä noin 90 % markkinaosuus. Kiinalainen puolijohdeteollisuus on edelleen keskittynyt pääosin kokoonpano- ja testausvaiheisiin puolijohdetuotannon arvoketjussa.

Puolijohdeteollisuuden merkittävin yksittäinen yhtiö on Taiwan Semiconductor Manufacturing (TSMC), joka hallitsee yli 50 % maailman puolijohdetuotannosta ja noin 90 % kaikista kehittyneimpien sirujen tuotannosta. TSMC:n tehokkailta tuotantolinjoilta tulee suurten yhdysvaltalaisten yritysten, kuten Apple ja Qualcomm, käyttämät sirut, minkä vuoksi Taiwanin rooli on Yhdysvalloille keskeinen.

Molempien suurvaltojen intresseissä on pitää Taiwanin tuotantokapasiteetti täydessä toiminnassa, sillä TSMC:n tuotanto ei ole helposti korvattavissa ja yhtä kustannustehokkaan prosessin uudelleenrakentaminen veisi todennäköisesti vuosia. Mikäli Taiwanin puolijohdetuotantoon tulisi häiriöitä, geopoliittisista tai geologisista syistä, näkyisivät vaikutukset laajamittaisesti teknologiasektorilla.
Vaikka Yhdysvallat on pystynyt pitämään etumatkaa puolijohteiden kehityksessä, on Kiinallakin käsissään siruteollisuuden kannalta ratkaisevia kortteja. Kiina hallitsee siruteollisuuden tarvitsemien harvinaisten maametallien globaalia tuotantoa, josta Yhdysvallat ja Eurooppa ovat hyvin riippuvaisia. Seuraava vaihe suurvaltojen välisessä nokittelussa saattaakin liittyä pääsyyn näihin teknologisen kehityksen kannalta kriittisiin raaka-aineisiin.

Siruteollisuuden strategiset riippuvuussuhteet tekevät teknologisesta kilpailusta vaikeasti ennakoitavaa. Nykyisessä geopoliittisessa tilanteessa Yhdysvaltojen ja Kiinan välisten jännitteiden kiristyminen voi luoda arvaamattomia hidasteita teknologiatrendien kehitykselle.

Perttu Hytönen
salkunhoitaja
LähiTapiola Varainhoito

Tämä kirjoitus on LähiTapiola Varainhoito Oy:n laatima ja perustuu sen näkemyksiin taloudesta ja sijoitusmarkkinasta. Kirjoituksen sisältämät arviot, tiedot ja mielipiteet perustuvat LähiTapiola Varainhoidon omiin laskelmiin tai lähteisiin, joita LähiTapiola Varainhoito pitää oikeina ja luotettavina. Tätä kirjoitusta ei tule yksinään käyttää sijoituspäätöksen perustana eikä siinä esitettyä tietoa ole tarkoitettu kehotukseksi käydä kauppaa sijoitustuotteilla tai palveluilla.